Opened 9 years ago

Closed 3 years ago

#3073 closed defect (fixed)

Wild card import in a sub package function fails to build the model

Reported by: Ravi Saripalli <ravi.saripalli@…> Owned by: somebody
Priority: high Milestone: 1.16.0
Component: Frontend Version: trunk
Keywords: Cc:

Description

omc version 1.9.2+dev (r23940)

I observe that when I include a wild card import in a subpackage of a user library
library parser can not find the member functions in that package. I am forced to use fully
qualified names. eg.

package A

function test

import A.B.C.* ; this and

x := dummy() ; ... this combo does not work
x := A.B.C.dummy() ; this alone works

package B

package C

function dummy ...

Sample code to illustrate is attached:

Attachments (3)

Test.zip (1.5 KB) - added by Ravi Saripalli <ravi.saripalli@…> 9 years ago.
Zipped archive of illustration code
Test.2.zip (1.5 KB) - added by Ravi Saripalli <ravi.saripalli@…> 9 years ago.
Zipped archive of illustration code
Test.3.zip (1.5 KB) - added by Ravi Saripalli <ravi.saripalli@…> 9 years ago.
source to illustrate the bug

Download all attachments as: .zip

Change History (5)

Changed 9 years ago by Ravi Saripalli <ravi.saripalli@…>

Zipped archive of illustration code

Changed 9 years ago by Ravi Saripalli <ravi.saripalli@…>

Zipped archive of illustration code

Changed 9 years ago by Ravi Saripalli <ravi.saripalli@…>

source to illustrate the bug

comment:1 Changed 9 years ago by Ravi Saripalli <ravi.saripalli@…>

apoligies for duplication of attachement ... the browser was playing up ...

comment:2 Changed 3 years ago by perost

  • Component changed from *unknown* to Frontend
  • Milestone changed from Future to 1.16.0
  • Resolution set to fixed
  • Status changed from new to closed

If the import is changed to mylib.A.B.C.* (imports must be fully qualified) it now works correctly with both the old and the new frontend.

Note: See TracTickets for help on using tickets.